ASML: Architecting Earth's Most Complex Machines

1 minutes reading time
Published 27 Sep 2024
Reviewed by: Oliver Hamrin

Today, it is hard to imagine that ASML was viewed as a likely failure when it emerged from Philips and ASM in 1984. Despite the absence of commercially viable products and a workforce that many believed had been handed a ticket to nowhere, the company managed to establish a technological monopoly within the most advanced chip manufacturing equipment – EUV lithography. This is the story of ASML, the architect behind Earth's most complex machines.

Key Insights

  • Unlikely beginnings: ASML was born out of Philips and ASM as a joint venture in 1984 with no commercially viable products and towering losses, yet it grew into a global niche monopoly within advanced chip manufacturing equipment.

  • Technological breakthrough: ASML's relentless pursuit of innovation led to the development of extreme ultraviolet (EUV) lithography, a technology essential for producing the most advanced chips, which no other company has been able to match.

  • Dominating its niche: Today, ASML holds a monopoly on the most advanced lithography equipment and has a market cap of over €300 billion, making it one of the most important companies in the world for technological development.

From Scrappy Outsider to Lithography Leader

In the early 1980s, the small town of Veldhoven quietly reaped the benefits of its neighbor, Eindhoven – a thriving industrial hub thanks to the presence of Dutch electronics giant Philips. As Philips drove technological innovation in the region, its influence extended beyond Eindhoven, fostering a ripple effect of industrial activity and technical expertise that reached Veldhoven. This proximity allowed Veldhoven to become a fertile ground for high-tech development, setting the stage for its transformation into an unlikely player in the global tech landscape.

From the start, ASML was a division that many within the parent company saw as more of a burden than an opportunity. It was, in fact, the problem child of Philips – a project that had spent over a decade trying to break into the photolithography business without much success. The joke within Philips was that creating ASML was essentially a delayed layoff for the employees being transferred into it.

Despite internal skepticism, Philips saw a potential lifeline in partnering with ASM International, another Dutch semiconductor manufacturing equipment company that today holds a leading market position in so-called atomic layer depositioning (ALD) and epitaxy equipment used in advanced chip production. In 1984, ASML was created through a joint venture between Philips and ASM, with its headquarters in Veldhoven. Initially, the company was largely dismissed as a failure, lacking both commercial viability and even a proper office. Its first workspace was a set of wooden barracks on the Philips campus in Eindhoven. Morale was low, with many employees skeptical about the venture's chances of success, viewing it more as a project destined to fail from the outset.

Despite these very unpromising beginnings, ASML had a few critical assets. The company inherited two industry-leading technologies developed within Philips, a small group of tenacious engineers, and a unique window of opportunity within the lithography industry, which was on the cusp of a significant technological shift.

The early years were brutal, with ASML barely surviving and relying heavily on financial support from its backers, Philips and ASM, to stay afloat. The PAS (Philips Automatic Stepper) 2000 – ASML's first system (often referred to as "machines," though ASML calls them "systems"), which it inherited from Philips and initially sold under its former name, ASM Lithography – was far from a success. Its oil-based hydraulics made it impossible to sell, since potential oil fumes would contaminate the strictly controlled cleanrooms where chips are made. It was back to the drawing board once again.

ASML's history is punctuated by moments of serendipity, one of which involved Morris Chang, founder of TSMC, the world's largest semiconductor foundry. In 1987, as TSMC was getting off the ground, Chang placed a large order for ASML's lithography machines. Shortly after delivery, however, a fire broke out at TSMC's facility, destroying the equipment. What could have been a disastrous setback turned into a stroke of luck for ASML. TSMC's insurance covered the loss, and the foundry was forced to reorder the same machines, effectively doubling ASML's order. This event was reportedly crucial not only in solidifying the relationship between TSMC and ASML but also in providing a financial windfall during one of ASML's most financially challenging times.

ASM Lithography - PAS 5500
An ASML PAS 5500 software configuration floppy disk set.

It was not until the early 1990s that ASML finally caught its first breakthrough with PAS 5500 – engineered by then a young man called Martin van den Brink which you will learn more about later. Through perseverance, both financially and in the R&D lab, ASML began to turn the tide. ASML had soon emerged as one of the top three players in the lithography industry, alongside Japanese Nikon and Canon. In 2002, nearly two decades after Philips and ASM decided to give what was to be named ASML another chance, the company overtook Nikon to become the industry's number one. But instead of resting on its laurels, ASML continued to push the boundaries, investing in groundbreaking technologies that many believed would never work.

The Age of Extreme Ultraviolet Lithography

As we've already addressed, the roots of lithography technology stretch back several decades. Initially, semiconductor manufacturers used natural light for photolithography – the process of etching microscopic circuits onto silicon wafers. However, as the demand for smaller transistors grew, the limitations of using visible light became apparent. Light waves, which don't travel in straight lines, began to distort the patterns being etched onto chips as these patterns shrank to ever smaller dimensions.

To address this, the industry evolved through various light sources, moving from visible light to ultraviolet and then to deep ultraviolet (DUV) light. By the 1990s and 2000s, DUV light had become the standard, using lasers to achieve a wavelength of 193 nanometers. However, as chip dimensions shrank to just a few nanometers – where a nanometer is one billionth of a meter, roughly 100,000 times smaller than the width of a human hair – even DUV light proved too unwieldy, akin to trying to sign your name with a snow shovel.

The need for a next-generation solution was clear if the industry was going to keep up with Moore's Law – an observation by one of Intel's founders, Gordon Moore, in 1965, that the number of transistors on a chip doubles approximately every two years. This has been proved correctly ever since thanks to the industry's rapid pace of innovation.

Recognizing the limitations of DUV, the semiconductor industry instead began exploring extreme ultraviolet (EUV) in the 1990s. The theoretical concept was developed a decade earlier, but deemed next to impossible to commercialize. How come? Well..

The "commonly" used way to create EUV light is through a process called laser-produced plasma. In this process, you shoot a laser on a droplet of molten tin roughly 50,000 times per second, which creates a plasma that emits EUV light. The plasma generated during this process can reach temperatures of several hundred thousand degrees Celsius, which is multitudes hotter than the 5,500-degree surface of the Sun.

To be able to etch silicon wafers with EUV in order to produce chips, the light has to be directed through a series of precision mirrors, which focus and shape the beam before it illuminates a photomask containing the desired circuit patterns. The EUV light projects these patterns onto a silicon wafer coated with a photosensitive material, enabling the fabrication of intricate semiconductor features. According to Marc Hijink in his insightful biography FOCUS: The ASML Way, no single person fully understands every aspect of how these machines operate.

The inside workings of an Extreme Ultraviolet lithography system.
An illustration of the inside workings of an EUV lithography system.

The Big Breakthrough

The intensified research into EUV was spearheaded by a US consortium funded by the Department of Energy, driven by a desire to regain lost ground to Japanese competitors. However, the US lacked a domestic lithography manufacturer capable of carrying the technology forward. Enter ASML, which was invited to join the consortium and take the lead in developing EUV technology. This opportunity was a crucial turning point for ASML.

A few years later, in 2001, ASML made a transformative move by acquiring the American company Silicon Valley Group, also known as SVG. Despite aggressive lobbying against it – with influential people fearing that the US would lose its position in the lithography race – the policymakers in Washington greenlit the deal after months of back and forth. This was much thanks to Intel, who had a strong relationship with the US government. This acquisition wasn't just a simple expansion of ASML's business; it was a calculated and strategic decision that played a pivotal role in shaping the future of the semiconductor industry.

By acquiring SVG, ASML not only removed a key competitor from the landscape but also gained access to SVG's valuable intellectual property and EUV licenses, ongoing research, and engineering talent. This intellectual capital was crucial for accelerating ASML's EUV development efforts. At the time, chip manufacturers like Intel, TSMC, and Samsung were all customers of SVG, so ASML also strengthened its key customer relationships. This was a key milestone on the company’s road to successfully commercializing EUV.

Originally, the plan was to introduce EUV technology in the mid-2000s, but the development process proved more challenging than anticipated. By 2010, the technology was still not ready despite multiple billions of dollars in R&D. In 2012, ASML secured significant investments from Intel, Samsung, and TSMC, who collectively took a 23% stake in the company and provided €1.4 billion in R&D funding.

This collaboration, known as The Musketeer Project, was not just about financial support; it was a strategic alliance that ensured ASML had the resources and technical expertise needed to overcome the enormous final challenges associated with developing EUV. This initiative was reportedly "the last big push" in bringing the anticipated technology to market, which finally happened in 2019 – almost two decades later than originally planned and roughly 40 years after the initial theoretical concept was developed.

While Japan's Nikon and Canon made significant attempts in developing EUV, they eventually had to abandon their efforts due to the immense cost and technical challenges. ASML, on the other hand, had the resources, support, business model, and determination to see the project through. The sheer complexity and associated R&D costs of developing a commercially viable lithography system using EUV creates immense barriers to entry.

ASML's EUV machines are today essential for producing the most advanced chips, which in turn drive the progress of nearly all modern computing technologies. Thus, ASML plays a pivotal role, to say the least, in advancing the capabilities of everything from smartphones and supercomputers to self-driving vehicles and medical devices. The ability to cram more transistors onto a single chip allows for more powerful processors, more efficient memory, and the development of complex algorithms that enhance AI and other cutting-edge technologies.

These machines – often described as the most complex machines ever built by humans – are roughly the size of a double-decker bus. Transporting them is an engineering challenge in itself, requiring seven Boeing 747s to deliver each machine to its destination. Each separate part of the machine also travels in its own specialized container, to ensure the temperature is kept exactly right until it enters the fab. The next generation of ASML's equipment, expected to enter high-volume manufacturing by 2025 or 2026, will be even larger. The price of this upcoming High NA EUV machine, which Intel will be the first to operate, is reportedly $350 million. Older EUV machines are being sold at around $150-250 million depending on the model.

Size references to a human of ASML's Twinscan Exe:5000
A visual comparing the size of ASML’s TWINSCAN EXE:5000 to a human.

The Lithography Machine Life Cycle

What happens once one of these colossal machines lands in a semiconductor fab (an industry term for fabrication plant)? What's the life cycle of these machines, and how does ASML maintain its dominance?

When a chip manufacturer such as TSMC or Samsung invests in one of ASML's lithography machines, it's not just purchasing a piece of equipment; it's acquiring a long-term asset and a multi-decade long partnership. These machines are built to last. In fact, ASML recently shared that 90% of all lithography machines it has sold over the last 30 years are still operational – pretty remarkable considering its complexity. Some remain in their original locations, while others, after reaching the end of their initial use, are refurbished and resold to other manufacturers. Even some of their old PAS 5500 systems are still churning out chips.

So, once installed, these machines can operate for decades, a crucial factor in an industry where the capital cost of building a cutting-edge fab is astronomical – usually exceeding $15 billion. In addition, ASML has mastered the art of keeping these machines not just running, but improving. They offer services to upgrade and enhance machines even decades after installation, and have service staff available 24/7 at every facility with an ASML machine in operation. This means that a machine purchased today can continue to become more efficient and productive over the next several decades. This ability to upgrade ensures that customers continue to see value in their investment, further incentivizing them to purchase from ASML and remain loyal for future needs.

The revenue model of ASML reflects this long-term relationship with their customers. New machine sales account for about 75% of ASML's revenue, with the remaining 25% coming from services and field options, including maintenance and upgrades. This diversified revenue stream also helps ASML maintain a steady income, even as the semiconductor industry experiences its inevitable cycles. This arguably also adds a razor-razorblade touch to ASML's business model. Additionally, training ASML's service staff is a highly specialized process that takes around two years, further contributing to the complexity of their operations and bolstering the company's competitive advantage.

The semiconductor industry has historically been very cyclical, and ASML's experience is no exception. However, in recent years, these cycles have become less severe for ASML. One reason for this is, as previously addressed, the company's unique position as the sole producer of certain types of lithography equipment. If a manufacturer such as TSMC wants to build the next generation of chips, they have to go through ASML. And with no competitors to turn to, manufacturers are hesitant to cancel orders, even in tough economic climates, because they know they'll lose their place in the queue when demand picks up again.

The Semiconductor Value Chain

Here is a detailed infographic explaining the complex dynamics of the semiconductor industry, illustrating how 100+ key players like TSMC, NVIDIA, Broadcom, and ASML fit into the ecosystem.

Infograph and flow-chart illustrating the semiconductor value chain
An infographic depicting the semiconductor value chain including over 100 key players divided by industry segment.

Supply Chain Mastery

Another important aspect of ASML's business is its sourcing and assembly business model. Despite selling the most complex machine on Earth, roughly 90% of its parts are developed by and supplied from third-parties. At its core, ASML is not really a manufacturer, but an architect – co-developing and sourcing parts from a highly specialized network of thousands of suppliers globally. About 80% of the cost of goods sold comes from these external components and materials, with only 20% attributed to labor at ASML's facilities.

This model was actually born out of necessity. In its early days, ASML lacked the resources to adopt the capital-intensive vertically integrated model used by Japanese competitors like Nikon and Canon. Instead, they had no choice but to rely on external suppliers. This has now developed into a key competitive advantage for ASML, as its unique supplier relationships have deepened as the technology has advanced. One could also argue that ASML's R&D spend is vastly understated, as its intricate network of highly advanced suppliers are spending additional billions every year to perfect their lithography machine ingredient.

Thus, the most crucial element of an EUV lithography system wasn't, and still isn't, any individual component, but ASML's expertise in supply chain management. According to former Executive Vice President and Chief Strategy Officer Frits van Hout, ASML engineered this network of business relationships "like a machine," creating a finely tuned system of thousands of companies capable of meeting its exacting requirements.

To ensure stability in this complex supply chain, ASML has established a few key criteria for its suppliers. No more than 40% of each suppliers' revenue can stem from ASML, to avoid them going bankrupt as the inevitable semiconductor downcycle comes. Since most of the components are single-sourced because of its complexity, it means finding a substitute supplier is most often impossible. This has led ASML to, from time to time, both inject capital in troubled suppliers as well as, in the case of Cymer and Berliner Glas, acquire the entire company. Although, acquiring suppliers is viewed as the last resort.

The Crucial Role of Zeiss SMT's Optics

While each part plays a critical role, one of ASML's most important suppliers is Zeiss SMT (Semiconductor Manufacturing Technology). The name Zeiss might sound familiar to some, as the Carl Zeiss group's medical technology division, Carl Zeiss Meditec, is publicly traded. Zeiss SMT however, as well as the parent company, is private. Located just a 6-hour car ride from Veldhoven, in Baden–Württemberg, Germany, Zeiss SMT develops the sophisticated optical system used in ASML's EUV machines.

Two Zeiss SMT engineers inspect a new optics system.
Two Zeiss SMT engineers inspect a new optics system used in ASML's EUV machines

The optical system by itself is an incredible technological achievement and a beast of a product, with the entire system weighing several tons. It utilizes hyper specialized mirrors to reflect and direct EUV light, as traditional lenses cannot be employed at these wavelengths. The laser light bounces off the mirrors around 40 times before finally reaching the photomask and then onto the silicon wafer. For this laser bouncing exercise to even work, Zeiss' mirrors have to be insanely flat. In fact, these mirrors are known to be some of the flattest surfaces ever made by humans, with deviations from perfect flatness measured in fractions of a nanometer. These optical systems are supplied solely to ASML.

Given the extremely unique position that ASML has developed, one might reasonably wonder how the company's pricing has evolved. Yes, an EUV system is extremely expensive in absolute dollar terms, but ASML truly wants to ensure that they are delivering value that justifies the investment for its customers, which is why they do not price gouge. The same goes for their supplier relationships, where they likely have immense untapped bargaining power as many suppliers are heavily reliant on the Dutch powerhouse. ASML wants to optimize for the long term.

ASML's Success in Numbers

In 2023, ASML generated €27.6 billion in revenue – a 30% year-over-year increase. Its operating profits surged by 38% to €9 billion. Pretty impressive for a company that began as a "problem child". The company's market cap now exceeds €300 billion, making it Europe's third-largest company, behind only Novo Nordisk and LVMH, and thus also one of the largest in the world.

Despite these impressive figures, what's surprising is the relatively small number of machines ASML sells annually. In 2023, ASML sold a total of 449 lithography machines. These are not mass-produced items in the typical sense; each one is a highly specialized and incredibly costly piece of equipment.

As of 2024, ASML employees more than 42,000 people, both on payroll and flexible contracts, spread across over 60 locations worldwide. The company's headquarters, still based in Veldhoven, the Netherlands, houses more than half of its workforce. This is one of the most highly specialized teams in the world, collaborating to tackle some of the most complex technological challenges globally. Top engineers, physicists, software developers, and supply chain experts all contribute to the intricate orchestration required to build ASML's machines.

One ASML EUV machine contains over 700,000 components. To put that in perspective, a typical car has around 30,000 parts. As you've probably understood by now, the sheer complexity of these machines is hard to comprehend. Each part must be perfectly calibrated to perform its role in a system that requires atomic-level precision. And once again, this system is co-developed and relies on sourcing parts from a highly specialized network of thousands of suppliers.

One interesting aspect of ASML's numbers is its size, especially when you consider its origins. To truly grasp the success and magnitude of ASML, we decided to put it into perspective against its mother company. Over the past three decades, three major companies have emerged from Philips: ASML in 1984, NXP in 2006, and Signify in 2016. What other spin-off parents come to mind? Danaher? Constellation Software?

Illustrating spin-offs from Philips by market cap
Three major companies have emerged from Philips: ASML in 1984, NXP in 2006, and Signify in 2016.

Concentrated Customer Base

ASML's customer base is highly concentrated, with the top two customers accounting for about 60% of its revenue according to industry experts. While ASML does not disclose the exact figures, it consistently cites customer concentration as a key risk in every earnings report. This narrow customer base reflects the specialized nature of the semiconductor industry, where only a few companies have the capability and financial resources to produce leading semiconductors – and thus, to purchase ASML's EUV machines.

These customers aren't just any companies; they are giants like TSMC, Samsung, Intel, and UMC – companies with deep pockets. They represent the few players globally that can truly harness the power of ASML's technology to manufacture the world's most advanced chips. This concentration might seem risky, but it's a natural outcome of the industry's structure and could hardly be avoided.

Cash Flow and "Hidden" Pricing Power

ASML is a cash-generating powerhouse, with both EBIT and operating cash flow consistently above 30%. Notably, the company's operating cash flow regularly surpasses its EBIT. This strong cash flow profile is partly due to customers often paying deposits upfront for their equipment, which provides a financial cushion as ASML continues to invest heavily in R&D and capacity expansion. This could arguably be seen as a form of pricing power, albeit in a more subtle manner than the typical approach of just raising prices.

ASML's strong cash generation has enabled it to maintain a healthy balance between reinvestment in the business and returning value to shareholders. The company spends about 15-16% of its annual revenues on R&D. An important point to consider is that this figure overlooks the R&D efforts of their suppliers, who are actually the ones carrying the heaviest load.

ASML: Revenue and EBIT CAGR between 2005-2023
ASML's revenue and EBIT development 2005-2023.

Leading Figures Behind the Success

At the heart of ASML's meteoric rise is a leadership team that turned the company from an overlooked underdog into an industry titan. Among them, Peter Wennink stands out – a leader whose journey with ASML began long before he ever stepped through its doors.

Back in 1995, Wennink was working at Deloitte, where he led the team managing ASML's IPO. As he researched the company's potential, he found himself captivated by its vision and challenges. ASML wasn't just another client; it became a passion. The more he learned, the more he realized he wanted to be a part of its story.

Four years later, in 1999, Wennink made the leap, joining ASML as CFO. His impact was immediate and profound. He navigated the company through several ups and downs, eventually stepping up as CEO in 2013. Under his leadership, ASML conquered its most daunting challenges, cementing its place as the uncontested leader in its niche. Wennink retired in April 2024 after 25 transformative years with the company, passing the torch to Christophe Fouquet, a seasoned leader who has spent 17 years at ASML in various key roles.

But if there's one name synonymous with ASML's success, it's Martin van den Brink whom we mentioned earlier. Van den Brink, the company's former CTO and president, was part of ASML from the very beginning. He retired alongside Peter Wennink earlier this year, marking the departure of two of the most crucial figures in ASML's history – a development that may signal a challenging period for the company going forward. Van den Brink joined Philips as early as 1983 and found himself at ASML at its inception. At that time and as previously addressed, many in the industry believed the division he joined was destined to fail. Despite these doubts, van den Brink stayed the course.

His early years at ASML were tough since the company was on the brink of disaster as it struggled to bring its first successful product to market. Just two years after its founding, van den Brink took on a leadership role, heading up product development. Initially seen as a stopgap measure, his leadership proved so effective that the company in fact never found anyone better suited for the job until his retirement.

By 1995, van den Brink had joined the management board and was appointed CTO (still primarily focussed on product development), a position he held until retirement. Widely regarded as a genius, his contributions have been instrumental not only to ASML but to the entire semiconductor industry by continually pushing the boundaries of what's possible. In parallel with his retirement as CTO of ASML, van den Brink joined the supervisory board of ASM.

ASML leading figures: Peter Wennink and Martin van den Brink
Martin van den Brink (left) and Peter Wennink (right).

A Culture of Innovation

Speaking of the key figures behind ASML's success, we must also highlight its unique company culture. Unlike many organizations where patents are filed under the company's name, ASML encourages its employees to file patents in their own names. This practice has fostered a culture of pride and ownership, where innovation is not only expected but celebrated (and in fact partly owned by the individuals themselves).

One of the most symbolic gestures of this culture is the tradition of etching the faces of the year's top innovators onto a silicon wafer, which is then displayed prominently at the company's headquarters. This act of recognition serves as both a motivation and a reminder of the individual contributions that drive the company's success.

The Acquisition That Never Happened: How ASML Remained Independent

This deep into the story, one might ask why ASML hasn't been acquired. This might seem like a silly question, as if every great company must eventually be acquired. However, given ASML's critical role in a booming industry dominated by a few giants, it's rather odd at first glance that ASML is still independent. The answer today likely seems straightforward and logical given its current market cap – now being a substantial barrier. What's more interesting, however, is the collaborative nature of the semiconductor industry itself.

The industry's success has always hinged on a division of labor across various niches, each with its own set of experts. This specialization has made ASML's remarkable success as an independent company crucial to the advancement of all major semiconductor manufacturers. One could argue that it's a sort of underlying agreement of scratching each other's backs in the semiconductor space, as many of the largest players rely on each other for success rather than competing head-to-head.

Imagine if a company like Samsung or TSMC had acquired ASML a decade ago. The cooperative efforts needed to fund and develop ASML's EUV lithography technology might never have materialized. Other foundries or fabless chip designers, vital to the industry’s development, would also have been less inclined to contribute if a direct competitor owned ASML. Interestingly enough though, Applied Materials and KLA – both of which are American as well as dominant in some of their own manufacturing equipment niches – did both approach ASML in takeover discussions at the turn of the millennia. Both were turned down, and ASML's independence allowed it to gather continued support from across the industry – ultimately benefiting everyone involved.

Closing Thoughts

Once a small, struggling joint venture created by Philips and ASM that few believed in, ASML leveraged its limited resources and relentless innovation to become the sole provider of the world's most advanced chip manufacturing equipment. Today, ASML is not just a key player but a cornerstone of the global tech landscape. With a market cap exceeding €300 billion, it remains a critical pillar in the semiconductor value chain, and its journey of pushing technological boundaries is obviously far from over.